000725748 000__ 03754cam\a2200505Ii\4500 000725748 001__ 725748 000725748 005__ 20230306140655.0 000725748 006__ m\\\\\o\\d\\\\\\\\ 000725748 007__ cr\cn\nnnunnun 000725748 008__ 150224t20152015sz\a\\\\ob\\\\000\0\eng\d 000725748 019__ $$a905920410 000725748 020__ $$a9783319159553$$qelectronic book 000725748 020__ $$a3319159550$$qelectronic book 000725748 020__ $$z9783319159546 000725748 0247_ $$a10.1007/978-3-319-15955-3$$2doi 000725748 035__ $$aSP(OCoLC)ocn903929899 000725748 035__ $$aSP(OCoLC)903929899$$z(OCoLC)905920410 000725748 040__ $$aN$T$$beng$$erda$$epn$$cN$T$$dGW5XE$$dN$T$$dDKU$$dUPM$$dBTCTA$$dIDEBK$$dCOO$$dCDX$$dE7B$$dEBLCP$$dYDXCP$$dDEBSZ 000725748 049__ $$aISEA 000725748 050_4 $$aTK7874 000725748 08204 $$a621.3815$$223 000725748 1001_ $$aLourenço, Ricardo,$$eauthor. 000725748 24510 $$aAIDA-CMK$$h[electronic resource] :$$bmulti-algorithm optimization kernel applied to analog IC sizing /$$cRicardo Lourenço, Nuno Lourenço, Nuno Horta. 000725748 264_1 $$aCham :$$bSpringer,$$c[2015] 000725748 264_4 $$c©2015 000725748 300__ $$a1 online resource (xi, 64 pages) :$$billustrations. 000725748 336__ $$atext$$btxt$$2rdacontent 000725748 337__ $$acomputer$$bc$$2rdamedia 000725748 338__ $$aonline resource$$bcr$$2rdacarrier 000725748 4901_ $$aSpringerBriefs in applied sciences and technology. Computational intelligence 000725748 504__ $$aIncludes bibliographical references. 000725748 5050_ $$aIntroduction -- Previous works on automated analog IC sizing -- AIDA-CMK: AIDA-C with MOO framework -- Multi-objective framework implementation -- Kernel validation using CEC2009 benchmarks -- Results for analog IC design -- Conclusion and Future work. 000725748 506__ $$aAccess limited to authorized users. 000725748 520__ $$aThis work addresses the research and development of an innovative optimization kernel applied to analog integrated circuit (IC) design. Particularly, this works describes the modifications inside the AIDA Framework, an electronic design automation framework fully developed by at the Integrated Circuits Group-LX of the Instituto de Telecomunica©ʹ©æes, Lisbon. It focusses on AIDA-CMK, by enhancing AIDA-C, which is the circuit optimizer component of AIDA, with a new multi-objective multi-constraint optimization module that constructs a base for multiple algorithm implementations. The proposed solution implements three approaches to multi-objective multi-constraint optimization, namely, an evolutionary approach with NSGAII, a swarm intelligence approach with MOPSO and stochastic hill climbing approach with MOSA. Moreover, the implemented structure allows the easy hybridization between kernels transforming the previous simple NSGAII optimization module into a more evolved and versatile module supporting multiple single and multi-kernel algorithms.The three multi-objective optimization approaches were validated with CEC2009 benchmarks to constrained multi-objective optimization and tested with real analog IC design problems. The achieved results were compared in terms of performance, using statistical results obtained from multiple independent runs. Finally, some hybrid approaches were also experimented, giving a foretaste to a wide range of opportunities to explore in future work. 000725748 588__ $$aOnline resource; title from PDF title page (SpringerLink, viewed February 25, 2015). 000725748 650_0 $$aAnalog integrated circuits$$xComputer-aided design. 000725748 650_0 $$aConstrained optimization. 000725748 7001_ $$aLourenço, Nuno,$$eauthor. 000725748 7001_ $$aHorta, Nuno C. G.,$$eauthor. 000725748 77608 $$iPrint version:$$z9783319159546 000725748 830_0 $$aSpringerBriefs in applied sciences and technology.$$pComputational intelligence. 000725748 852__ $$bebk 000725748 85640 $$3SpringerLink$$uhttps://univsouthin.idm.oclc.org/login?url=http://link.springer.com/10.1007/978-3-319-15955-3$$zOnline Access$$91397441.1 000725748 909CO $$ooai:library.usi.edu:725748$$pGLOBAL_SET 000725748 980__ $$aEBOOK 000725748 980__ $$aBIB 000725748 982__ $$aEbook 000725748 983__ $$aOnline 000725748 994__ $$a92$$bISE