000726451 000__ 07616cam\a2200553Ii\4500 000726451 001__ 726451 000726451 005__ 20230306140822.0 000726451 006__ m\\\\\o\\d\\\\\\\\ 000726451 007__ cr\cn\nnnunnun 000726451 008__ 150409s2015\\\\sz\a\\\\o\\\\\101\0\eng\d 000726451 020__ $$a9783319162140$$qelectronic book 000726451 020__ $$a3319162144$$qelectronic book 000726451 020__ $$z9783319162133 000726451 0247_ $$a10.1007/978-3-319-16214-0$$2doi 000726451 035__ $$aSP(OCoLC)ocn906929203 000726451 035__ $$aSP(OCoLC)906929203 000726451 040__ $$aGW5XE$$beng$$erda$$epn$$cGW5XE$$dUPM$$dCOO$$dYDXCP$$dOCLCF$$dOCLCO$$dVLB 000726451 049__ $$aISEA 000726451 050_4 $$aQA76.9.A3$$bA72 2015eb 000726451 08204 $$a006.3$$223 000726451 1112_ $$aARC (Symposium)$$n(11th :$$d2015 :$$cBochum, Germany) 000726451 24510 $$aApplied reconfigurable computing$$h[electronic resource] :$$b11th International Symposium, ARC 2015, Bochum, Germany, April 13-17, 2015, Proceedings /$$cKentaro Sano, Dimitrios Soudris, Michael Hübner, Pedro C. Diniz (eds.). 000726451 2463_ $$aARC 2015 000726451 264_1 $$aCham :$$bSpringer,$$c2015. 000726451 300__ $$a1 online resource (xviii, 557 pages) :$$billustrations. 000726451 336__ $$atext$$btxt$$2rdacontent 000726451 337__ $$acomputer$$bc$$2rdamedia 000726451 338__ $$aonline resource$$bcr$$2rdacarrier 000726451 4901_ $$aLecture notes in computer science,$$x0302-9743 ;$$v9040 000726451 4901_ $$aLNCS sublibrary. SL 1, Theoretical computer science and general issues 000726451 500__ $$aInternational conference proceedings. 000726451 500__ $$aIncludes author index. 000726451 5050_ $$aArchitecture and Modeling -- Reducing Storage Costs of Reconfiguration Contexts by Sharing Instruction Memory Cache Blocks -- A Vector Caching Scheme for Streaming FPGA SpMV Accelerators -- Hierarchical Dynamic Power-Gating in FPGAs -- Tools and Compilers -- Hardware Synthesis from Functional Embedded Domain-Specific Languages: A Case Study in Regular Expression Compilation -- ArchHDL: A Novel Hardware RTL Design Environment in C++ -- Operand-Value-Based Modeling of Dynamic Energy Consumption of Soft Processors in FPGA -- Systems and Applications -- Preemptive Hardware Multitasking in ReconOS -- A Fully Parallel Particle Filter Architecture for FPGAs -- TEAChER: TEach AdvanCEd Reconfigurable Architectures and Tools -- Tools and Compilers -- Dynamic Memory Management in Vivado-HLS for Scalable Many-Accelerator Architectures -- SET-PAR: Place and Route Tools for the Mitigation of Single Event Transients on Flash-Based FPGAs -- Advanced SystemC Tracing and Analysis Framework for Extra-Functional Properties -- Run-Time Partial Reconfiguration Simulation Framework Based on Dynamically Loadable Components -- Network-on-a-Chip Architecture Virtualization for Run-Time Hardware Multithreading on Field Programmable Gate Arrays -- Centralized and Software-Based Run-Time Traffic Management Inside Configurable Regions of Interest in Mesh-Based Networks-on-Chip -- Survey on Real-Time Network-on-Chip Architectures -- Cryptography Applications Efficient SR-Latch PUF -- Hardware Benchmarking of Cryptographic Algorithms Using High-Level Synthesis Tools: The SHA-3 Contest Case Study -- Dual CLEFIA/AES Cipher Core on FPGA -- Systems and Applications -- An Efficient and Flexible FPGA Implementation of a Face Detection System -- A Flexible Software Framework for Dynamic Task Allocation on MPSoCs Evaluated in an Automotive Context -- A Dynamically Reconfigurable Mixed Analog-Digital Filter Bank -- The Effects of System Hyper Pipelining on Three Computational Benchmarks Using FPGAs -- Extended Abstracts (Posters) -- A Timing Driven Cycle-Accurate Simulation for Coarse-Grained Reconfigurable Architectures -- Scalable and Efficient Linear Algebra Kernel Mapping for Low Energy Consumption on the Layers CGRA. -- A Novel Concept for Adaptive Signal Processing on Reconfigurable Hardware -- Evaluation of High-Level Synthesis Techniques for Memory and Datapath Tradeoffs in FPGA Based SoC Architectures -- Measuring Failure Probability of Coarse and Fine Grain TMR Schemes in SRAM-based FPGAs Under Neutron-Induced Effects -- Modular Acquisition and Stimulation System for Timestamp-Driven Neuroscience Experiments -- DRAM Row Activation Energy Optimization for Stride Memory Access on FPGA-Based Systems -- Acceleration of Data Streaming Classification Using Reconfigurable Technology -- On-The-Fly Verification of Reconfigurable Image Processing Modules Based on a Proof-Carrying Hardware Approach -- Partial Reconfiguration for Dynamic Mapping of Task Graphs onto 2D Mesh Platform -- A Challenge of Portable and High-Speed FPGA Accelerator -- Total Ionizing Dose Effects of Optical Components on an Optically Reconfigurable Gate Array -- Exploring Dynamic Reconfigurable CORDIC Co-Processors Tightly Coupled with a VLIW-SIMD Soft-Processor Architecture -- Mesh of Clusters FPGA Architectures: Exploration Methodology and Interconnect Optimization -- DyAFNoC: Dynamically Reconfigurable NoC Characterization Using a Simple Adaptive Deadlock-Free Routing Algorithm with a Low Implementation Cost -- A Flexible Multilayer Perceptron Co-processor for FPGAs -- Reconfigurable Hardware Assist for Linux Process Scheduling in Heterogeneous Multicore SoCs -- Towards Performance Modeling of 3D Memory Integrated FPGA Architectures -- Pyverilog: A Python-Based Hardware Design Processing Toolkit for Verilog HDL -- Special Session 1: Funded R&D Running and Completed Projects (Invited Papers) -- Towards Unification of Accelerated Computing and Interconnection For Extreme-Scale Computing -- SPARTAN/SEXTANT/COMPASS: Advancing Space Rover Vision via Reconfigurable Platforms -- Hardware Task Scheduling for Partially Reconfigurable FPGAs -- SWAN-iCARE Project: On the Efficiency of FPGAs Emulating Wearable Medical Devices for Wound Management and Monitoring -- Special Session 2: Horizon 2020 Funded Projects (Invited Papers) -- DynamIA: Dynamic Hardware Reconfiguration in Industrial Applications -- Robots in Assisted Living Environments as an Unobtrusive, Efficient, Reliable and Modular Solution for Independent Ageing: The RADIO Perspective -- Reconfigurable Computing for Analytics Acceleration of Big Bio-Data: The AEGLE Approach -- COSSIM : A Novel, Comprehensible, Ultra-Fast, Security-Aware CPS Simulator. 000726451 506__ $$aAccess limited to authorized users. 000726451 520__ $$aThis book constitutes the refereed proceedings of the 11th International Symposium on Applied Reconfigurable Computing, ARC 2015, held in Bochum, Germany, in April 2015. The 23 full papers and 20 short papers presented in this volume were carefully reviewed and selected from 85 submissions. They are organized in topical headings named: architecture and modeling; tools and compilers; systems and applications; network-on-a-chip; cryptography applications; extended abstracts of posters. In addition, the book contains invited papers on funded R&D - running and completed projects and Horizon 2020 funded projects. 000726451 588__ $$aOnline resource; title from PDF title page (SpringerLink, viewed April 9, 2015). 000726451 650_0 $$aAdaptive computing systems$$vCongresses. 000726451 650_0 $$aComputer architecture$$vCongresses. 000726451 7001_ $$aSano, Kentaro,$$eeditor. 000726451 7001_ $$aSoudris, Dimitrios,$$d1964-$$eeditor. 000726451 7001_ $$aHübner, Michael,$$d1970-$$eeditor. 000726451 7001_ $$aDiniz, Pedro C.,$$eeditor. 000726451 77608 $$iPrint version:$$z9783319162133 000726451 830_0 $$aLecture notes in computer science ;$$v9040. 000726451 830_0 $$aLNCS sublibrary.$$nSL 1,$$pTheoretical computer science and general issues. 000726451 852__ $$bebk 000726451 85640 $$3SpringerLink$$uhttps://univsouthin.idm.oclc.org/login?url=http://link.springer.com/10.1007/978-3-319-16214-0$$zOnline Access$$91397441.1 000726451 909CO $$ooai:library.usi.edu:726451$$pGLOBAL_SET 000726451 980__ $$aEBOOK 000726451 980__ $$aBIB 000726451 982__ $$aEbook 000726451 983__ $$aOnline 000726451 994__ $$a92$$bISE